Design Flow (EDA)
   HOME

TheInfoList



OR:

Design flows are the explicit combination of
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing Electronics, electronic systems such as integrated circuits and printed circuit boards. The tools wo ...
tools to accomplish the design of an
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
.
Moore's law Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empir ...
has driven the entire IC implementation RTL to
GDSII GDSII stream format (GDSII), is a binary database file format which is the de facto industry standard for Electronic Design Automation data exchange of integrated circuit or IC layout artwork. It is a binary file format representing planar geome ...
design flows from one which uses primarily stand-alone
synthesis Synthesis or synthesize may refer to: Science Chemistry and biochemistry *Chemical synthesis, the execution of chemical reactions to form a more complex molecule from chemical precursors ** Organic synthesis, the chemical synthesis of organ ...
, placement, and
routing Routing is the process of selecting a path for traffic in a network or between or across multiple networks. Broadly, routing is performed in many types of networks, including circuit-switched networks, such as the public switched telephone netw ...
algorithms to an integrated construction and analysis flows for
design closure Design Closure is a part of the digital electronic design automation workflow by which an integrated circuit (i.e. VLSI) design is modified from its initial description to meet a growing list of design constraints and objectives. Every step i ...
. The challenges of rising interconnect delay led to a new way of thinking about and integrating design closure tools. The RTL to GDSII flow underwent significant changes from 1980 through 2005. The continued scaling of CMOS technologies significantly changed the objectives of the various design steps. The lack of good predictors for delay has led to significant changes in recent design flows. New scaling challenges such as leakage power, variability, and reliability will continue to require significant changes to the
design closure Design Closure is a part of the digital electronic design automation workflow by which an integrated circuit (i.e. VLSI) design is modified from its initial description to meet a growing list of design constraints and objectives. Every step i ...
process in the future. Many factors describe what drove the design flow from a set of separate design steps to a fully integrated approach, and what further changes are coming to address the latest challenges. In his keynote at the 40th
Design Automation Conference The Design Automation Conference, or DAC, is an annual event, a combination of a technical conference and a trade show, both specializing in electronic design automation (EDA). DAC is the oldest and largest conference in EDA, started in 1964. ...
entitle
The Tides of EDA
Alberto Sangiovanni-Vincentelli Alberto Luigi Sangiovanni-Vincentelli (born June 23, 1947) is an Italian-American computer scientist. He currently sits on the board of directors of Cadence Design Systems, an EDA company he co-founded in 1988. Biography Born in Milan, Italy ...
distinguished three periods of EDA: * ''The Age of Invention'': During the invention era,
routing Routing is the process of selecting a path for traffic in a network or between or across multiple networks. Broadly, routing is performed in many types of networks, including circuit-switched networks, such as the public switched telephone netw ...
, placement,
static timing analysis Static timing analysis (STA) is a simulation method of computing the expected timing of a Synchronous circuit, synchronous digital circuit without requiring a simulation of the full circuit. High-performance integrated circuits have tradition ...
and
logic synthesis In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a compu ...
were invented. * ''The Age of Implementation'': In the age of implementation, these steps were drastically improved by designing sophisticated data structures and advanced algorithms. This allowed the tools in each of these design steps to keep pace with the rapidly increasing design sizes. However, due to the lack of good predictive cost functions, it became impossible to execute a design flow by a set of discrete steps, no matter how efficiently each of the steps was implemented. * ''The Age of Integration'': This led to the age of integration where most of the design steps are performed in an integrated environment, driven by a set of incremental cost analyzers. There are differences between the steps and methods of the design flow for analog and digital integrated circuits. Nonetheless, a typical
VLSI Very large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining millions or billions of MOS transistors onto a single chip. VLSI began in the 1970s when MOS integrated circuit (Metal Oxide Semiconductor) c ...
design flow consists of various steps like design conceptualization, chip optimization, logical/physical implementation, and design validation and verification.


See also

*
Placement (EDA) Placement is an essential step in electronic design automation — the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area. An inferior placement assignment will not only aff ...
, an essential step in Electronic Design Automation (EDA) *
Routing (EDA) In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards (PCBs) and integrated circuits (ICs). It builds on a preceding step, called placement, which determines the location of eac ...
, a crucial step in the design of integrated circuits *
Power optimization (EDA) Power optimization is the use of electronic design automation tools to optimize (reduce) the power consumption of a digital design, such as that of an integrated circuit, while preserving the functionality. Introduction and history The increasing ...
, the use of EDA tools to optimize (reduce) the power consumption of a digital design, while preserving its functionality *
Post-silicon validation {{no footnotes, date=July 2011 Post-silicon validation and debug is the last step in the development of a semiconductor integrated circuit. Pre-silicon process During the pre-silicon process, engineers test devices in a virtual environment with ...
, the final step in the EDA design flow


References

* ''Electronic Design Automation For Integrated Circuits Handbook'', by Lavagno, Martin, and Scheffer, A survey of the field, from which this summary was derived, with permission. {{Design Digital electronics Electronic design automation Electronics optimization